1
AIEEE 2010
MCQ (Single Correct Answer)
+4
-1
A small particle of mass $$m$$ is projected at an angle $$\theta $$ with the $$x$$-axis with an initial velocity $${v_0}$$ in the $$x$$-$$y$$ plane as shown in the figure. At a time $$t < {{{v_0}\sin \theta } \over g},$$ the angular momentum of the particle is ................,

AIEEE 2010 Physics - Rotational Motion Question 178 English

where $$\widehat i,\widehat j$$ and $$\widehat k$$ are unit vectors along $$x,y$$ and $$z$$-axis respectively.
A
$$ - mg\,{v_0}{t^2}\cos \theta \widehat j$$
B
$$mg\,{v_0}t\cos \theta \widehat k$$
C
$$ - {1 \over 2}mg\,{v_0}{t^2}\cos \,\theta \widehat k$$
D
$${1 \over 2}mg\,{v_0}{t^2}\cos \theta \widehat i$$
2
AIEEE 2009
MCQ (Single Correct Answer)
+4
-1
A thin uniform rod of length $$l$$ and mass $$m$$ is swinging freely about a horizontal axis passing through its end. Its maximum angular speed is $$\omega $$. Its center of mass rises to a maximum height of:
A
$${1 \over 6}\,\,{{l\omega } \over g}$$
B
$${1 \over 2}\,\,{{{l^2}{\omega ^2}} \over g}$$
C
$${1 \over 6}\,\,{{{l^2}{\omega ^2}} \over g}$$
D
$${1 \over 3}\,\,{{{l^2}{\omega ^2}} \over g}$$
3
AIEEE 2008
MCQ (Single Correct Answer)
+4
-1
Consider a uniform square plate of side $$' a '$$ and mass $$'m'$$. The moment of inertia of this plate about an axis perpendicular to its plane and passing through one of its corners is
A
$${5 \over 6}m{a^2}$$
B
$${1 \over 12}m{a^2}$$
C
$${7 \over 12}m{a^2}$$
D
$${2 \over 3}m{a^2}$$
4
AIEEE 2007
MCQ (Single Correct Answer)
+4
-1
A round uniform body of radius $$R,$$ mass $$M$$ and moment of inertia $$I$$ rolls down (without slipping) an inclined plane making an angle $$\theta $$ with the horizontal. Then its acceleration is
A
$${{g\,\sin \theta } \over {1 - M{R^2}/I}}$$
B
$${{g\,\sin \theta } \over {1 + I/M{R^2}}}$$
C
$${{g\,\sin \theta } \over {1 + M{R^2}/I}}$$
D
$${{g\,\sin \theta } \over {1 - I/M{R^2}}}$$
JEE Main Subjects
EXAM MAP
Medical
NEET
Graduate Aptitude Test in Engineering
GATE CSEGATE ECEGATE EEGATE MEGATE CEGATE PIGATE IN
CBSE
Class 12