1
GATE ECE 2001
MCQ (Single Correct Answer)
+2
-0.6
When the angular frequency $$\omega $$ in Fig. is varied from $$0$$ to $$\infty $$ the locus of the current phasor $${{\rm I}_2}$$ is given by GATE ECE 2001 Network Theory - Sinusoidal Steady State Response Question 30 English 1 GATE ECE 2001 Network Theory - Sinusoidal Steady State Response Question 30 English 2 GATE ECE 2001 Network Theory - Sinusoidal Steady State Response Question 30 English 3 GATE ECE 2001 Network Theory - Sinusoidal Steady State Response Question 30 English 4 GATE ECE 2001 Network Theory - Sinusoidal Steady State Response Question 30 English 5
A
Fig. (i)
B
Fig. (ii)
C
Fig. (iii)
D
Fig. (iv)
2
GATE ECE 2000
MCQ (Single Correct Answer)
+2
-0.6
In Fig., the steady state output voltage corresponding to the input voltage $$\left( {3 + 4\sin \,\,100\,t} \right)$$ $$V$$ is GATE ECE 2000 Network Theory - Sinusoidal Steady State Response Question 31 English
A
$$3 + {4 \over {\sqrt 2 }}\sin \left( {100\,t - {\pi \over 4}} \right)\,\,V$$
B
$$3 + 4\sqrt 2 \sin \left( {100\,t - {\pi \over 4}} \right)\,\,V$$
C
$${3 \over 2} + {4 \over {\sqrt 2 }}\sin \left( {100\,t + {\pi \over 4}} \right)\,\,V$$
D
$$3 + 4\sin \left( {100\,t - {\pi \over 4}} \right)\,\,V$$
3
GATE ECE 1993
MCQ (Single Correct Answer)
+2
-0.6
In figure, A1, A2 and A3 are ideal ammeters. If A1 reads 5 A, A2, A2 reads 12 A, then A3 should read GATE ECE 1993 Network Theory - Sinusoidal Steady State Response Question 4 English
A
7A
B
12A
C
13A
D
17A
4
GATE ECE 1992
MCQ (Single Correct Answer)
+2
-0.6
For the series R-L-C circuit of figure(a), the partial phasor diagram at a certain frequency is shown in figure (b).The operating frequency of the circuit is: GATE ECE 1992 Network Theory - Sinusoidal Steady State Response Question 57 English
A
equal to the resonance frequency
B
less than the resonance frequency
C
greater than the resonance frequency
D
not zero
GATE ECE Subjects
EXAM MAP
Medical
NEET
Graduate Aptitude Test in Engineering
GATE CSEGATE ECEGATE EEGATE MEGATE CEGATE PIGATE IN
CBSE
Class 12