1
GATE CSE 2009
MCQ (Single Correct Answer)
+2
-0.6
Given the following state table of an $$FSM$$ with two states $$A$$ and $$B,$$ one input and one output: GATE CSE 2009 Digital Logic - Sequential Circuits Question 17 English

If the initial state is $$A = 0, B=0.$$ What is the minimum length of an input string which will take the machine to the state $$A=0, B=1$$ with Output$$=1?$$

A
$$3$$
B
$$4$$
C
$$5$$
D
$$6$$
2
GATE CSE 2007
MCQ (Single Correct Answer)
+2
-0.6
The control signal functions of a $$4$$-bit binary counter are given below $$($$where $$X$$ “don’t care”$$):$$ GATE CSE 2007 Digital Logic - Sequential Circuits Question 18 English 1

The counter is connected as follows:

GATE CSE 2007 Digital Logic - Sequential Circuits Question 18 English 2

Assume that the counter and gate delays are negligible. If the counter starts at $$0,$$ then it cycles through the following sequence:

A
$$0, 3, 4$$
B
$$0, 3, 4, 5$$
C
$$0, 1, 2, 3, 4$$
D
$$0, 1, 2, 3, 4, 5$$
3
GATE CSE 2006
MCQ (Single Correct Answer)
+2
-0.6
Consider the circuit in the diagram. The $$ \oplus $$ operator represents $$EX$$-$$OR.$$ The $$D$$ flip-flops are initialized to zeros (cleared). GATE CSE 2006 Digital Logic - Sequential Circuits Question 10 English

The following data: $$100110000$$ is supplied to the ''data'' terminal in nine clock cycles. After that the values of $${q_2}{q_1}{q_0}$$ are

A
$$000$$
B
$$001$$
C
$$010$$
D
$$101$$
4
GATE CSE 2004
MCQ (Single Correct Answer)
+2
-0.6
Consider the partial implementation of a $$2$$-bit counter using $$T$$ flip-flops following the sequence $$0$$-$$2$$-$$3$$-$$1$$-$$0,$$ as shown below. GATE CSE 2004 Digital Logic - Sequential Circuits Question 11 English

To complete the circuit, the input $$X$$ should be

A
$${Q_2}$$
B
$${Q_2} + {Q_1}$$
C
$$\left( {{Q_1} \oplus {Q_2}} \right)'$$
D
$$\left( {{Q_1} \oplus {Q_2}} \right)$$
GATE CSE Subjects
Software Engineering
Web Technologies
EXAM MAP
Medical
NEET
Graduate Aptitude Test in Engineering
GATE CSEGATE ECEGATE EEGATE MEGATE CEGATE PIGATE IN
CBSE
Class 12